eLab

Publications

Publications

Book and Book Chapters

NoC_book

Umit Y. Ogras, Ujjwal Gupta, Jaehyun Park, Ganapati Bhat, “Designing Wearable Systems-On-Polymer Using Flexible Hybrid Electronics,” in Printed Electronics: Technologies, Applications and Challenges, Kylian Yvon and Nathan Fabrice Ed., Nova Science Publishers, Inc., 2018.

Umit Y. Ogras and Radu Marculescu, Modeling, Analysis and Optimization of Network-on-Chip Communication Architectures , Lecture Notes in Electrical Engineering, Vol. 184, Springer, 2013.

Umit Y. Ogras, Radu Marculescu, “Communication-based Design for Nanoscale SoCs,” in Low Power Electronics and Design section of the VLSI Handbook, 2nd ed., Wai-Kai Chen, Ed., CRC Book Press, December 2006.

Patents

Umit Y. Ogras, Ujjwal Gupta, and Md Ali Muztoba. “Systems and methods for hybrid flexible electronics with rigid integrated circuits.” U.S. Patent No. 9,984,962. 29 May 2018.

Suat Gumussoy, Ganapati Bhat, Umit Y. Ogras, “Power-Temperature Stability and Safety Analysis for Multiprocessor Systems,” Invention Disclosure M18-082P, September 2017.

Y. C. Liu, J. W. Horihan, K. Ganapathy, U. Y. Ogras, A. W. Chu, G. N. Srinivasa, “On-chip Mesh Interconnect,” US. Patent US 14/126,883, January 2015.

Peer-Reviewed Journal and Conference Proceedings

Ganapati Bhat, Yigit Tuncel, Sizhe An, Hyung G. Lee, Umit Y. Ogras An Ultra-Low Energy Human Activity Recognition Accelerator for Wearable Health Applications,” ACM Trans. Embed. Comput. Syst. 18, 5s, Article 49 (October 2019), 22 pages. DOI:https://doi.org/10.1145/3358175 (ES-Week Special Issue) (ES-Week CASES Best Paper)

Sumit K. Mandal, Raid Ayoub, Michael Kishinevsky, Umit Y. Ogras, Analytical Performance Models for NoCs with Multiple Priority Traffic Classes,” in ACM Trans. Embed. Comput. Syst. 18, 5s, Article 52 (October 2019), 21 pages. DOI:https://doi.org/10.1145/3358176 (ES-Week Special Issue).

Sumit K. Mandal, Ganapati Bhat, Chetan A. Patil, Jana R. Doppa, Partha P. Pande and Umit Y. Ogras, “Dynamic Resource Management of Heterogeneous Mobile Platforms via Imitation Learning,” in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 27, no. 12, pp. 2842-2854, Dec. 2019. doi: 10.1109/TVLSI.2019.2926106

S. Arda, Anish NK, A. A. Goksoy, J. Mack, N. Kumbhare, A. L. Sartor, A. Akoglu, R. Marculescu, and U. Y. Ogras, “WIP: A Simulation Framework for Domain-Specific System-on-Chips,” in Proc. of Intl. Conf. on HW/SW Codesign and Sys. Synthesis (CODES+ISSS), Oct. 2019.

Ganapati Bhat, Kunal Bagewadi, Hyung Gyu Lee, and Umit Y. Ogras, “REAP: Runtime Energy-Accuracy Optimization for Energy Harvesting IoT Devices,” in Proc. of Design Automation Conf. (DAC), June 2019.

Subodha Charles, Alif Ahmed, Umit Y. Ogras, and Prabhat Mishra. “Efficient Cache Reconfiguration Using Machine Learning in NoC-Based Many-Core CMPs.” ACM Transactions on Design Automation of Electronic Systems (TODAES) 24, no. 6 (2019): 1-23.

Anish N. Krishnakumar, Ganapati Bhat, Jaehyun Park, Hyung Gyu Lee and Umit Y. Ogras, “Sensor-Classifier Co-Optimization for Wearable Human Activity Recognition Applications,” In Proc. of IEEE Intl. Conference on Embedded Software and Systems, June 2019.

Richard Uhrie, Daniel W. Bliss, Chaitali Chakrabarti, Umit Y. Ogras, and John Brunhaver, “Machine Understanding of Domain Computation for Domain-Specific System-on-Chips (DSSoC),” In Proc. SPIE 11015, Open Architecture/Open Business Model Net-Centric Systems and Defense Transformation, April 2019, doi: 10.1117/12.2519264

Ganapati Bhat, Ranadeep Deb, Umit Y. Ogras, “OpenHealth: Open Source Platform for Wearable Health Monitoring,” IEEE Design and Test of Computers, Accepted (In press). [pdf]

Ujjwal Gupta, Sumit K. Mandal, Manqing Mao, Chaitali Chakrabarti, Umit Y. Ogras, “A Deep Q-Learning Approach for Dynamic Management of Heterogeneous Processors,” IEEE Computer Architecture Letters (preprint), doi: 10.1109/LCA.2019.2892151.

Hang Gao, Ganapati M. Bhat, Umit Y. Ogras, Sule Ozev, “Optimized Stress Testing for Flexible Hybrid Electronics Designs,” IEEE VLSI Test Symposium, April 2019. [preprint]

Matthew McGuire, Umit Y. Ogras, Sule Ozev, “PCB Hardware Trojans: Attack Modes and Detection Strategies,” IEEE VLSI Test Symposium, April 2019.

Ganapati Bhat, Suat Gumussoy, Umit Y. Ogras, “Power and Thermal Analysis of Commercial Mobile Platforms: Experiments and Case Studies,” in Proc. of Design Automation and Test in Europe Conference (DATE), March 2019.

Fatih Karabacak, Umit Ogras, Sule Ozev, “Detecting Unknown Malicious Activity on Wearable Electronic Devices,” in Proc. of GOMACTech, March 2019.

Matthew McGuire, Umit Y. Ogras, Sule Ozev, “Security Vulnerabilities of Printed Circuit Boards and Detection via Post-Production Test,” in Proc. of GOMACTech, March 2019.

Md Muztoba, Rohit Voleti, Fatih Karabacak, Jaehyun Park, and Umit Y. Ogras, “Instinctive Assistive Indoor Navigation using Distributed Intelligence,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 23, issue 6, December 2018.

Fatih Karabacak, Umit Y. Ogras and Sule Ozev, “Remote Detection of Unauthorized Activity via Spectral Analysis,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 23, issue 6, December 2018.

Doohwang Chang, Ganapati Bhat, Umit Y. Ogras, Bertan Bakkaloglu, and Sule Ozev, “Detection Mechanisms for Unauthorized Wireless Transmissions,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 23, issue 6, December 2018.

Subodha Charles, Chetan Arvind Patil, Umit Y. Ogras and Prabhat Mishra. “Exploration of Memory and Cluster Modes in Directory-Based Many-Core CMPs”, in Proc. of Intl. Symposium on Networks-on-Chip (NOCS) Oct. 2018.

Ganapati Bhat, Ranadeep Deb, Vatika Vardhan Chaurasia, Holly Shill, and Umit Y. Ogras. “Online Human Activity Recognition using Low-Power Wearable Devices”, in Proc. of Intl. Conf. on Computer-Aided Design (ICCAD), Nov. 2018. [pdf]

Ujjwal Gupta, Manoj Babu, Raid Ayoub, Michael Kishinevsky, Francesco Paterna, Umit Y. Ogras, “STAFF: Online Learning with Stabilized Adaptive Forgetting Factor and Feature Selection Algorithm,” in Proc. of Design Automation Conf. (DAC), June 2018.

Ujjwal Gupta,Manoj Babu, Raid Ayoub, Michael Kishinevsky, Francesco Paterna, Suat Gumussoy, and Umit Y. Ogras, “An Online Learning Methodology for Performance Modeling of Graphics Processors,” IEEE Transactions on Computers, DOI: 10.1109/TC.2018.2840710, May 2018.

Fatih Karabacak,Umit Y. Ogras and Sule Ozev, “Malicious Activity Analysis for Lightweight IoT Devices,” in Proc. of GOMACTech, March 2018.

Ganapati Bhat, et al. “Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms,” in IEEE Trans. Very Large Integr. (VLSI) Syst., vol. 26, no. 3 (2018): 544-557. [link]

Ganapati Bhat, Jaehyun Park, and Umit Y. Ogras. “Near Optimal Energy Allocation for Self-Powered Wearable Systems,” in Proc. of Intl. Conf. on Computer-Aided Design (ICCAD), November 2017. [link]

Jaehyun Park, Hitesh Joshi, Hyung Gyu Lee, Sayfe Kiaei, and Umit Y. Ogras. “Flexible PV-cell Modeling for Energy Harvesting in Wearable IoT Applications,” in ACM Tran. on Embedded Comp. Sys. (ESWEEK Special Issue), October 2017. (CODES+ISSS: Best Paper Award) [link][poster]

Ujjwal Gupta, Chetan Arvind Patil, Ganapati Bhat, Prabhat Mishra, and Umit Y. Ogras. “DyPO: Dynamic Pareto Optimal Configuration Selection for Heterogeneous MpSoCs,” in ACM Tran. on Embedded Comp. Sys. (ESWEEK Special Issue), October 2017. [link][poster]

Ganapati Bhat, Suat Gumussoy, and Umit Y. Ogras. “Power-Temperature Stability and Safety Analysis for Multiprocessor Systems,” in ACM Tran. on Embedded Comp. Sys. (ESWEEK Special Issue), October 2017. [link][poster]

Fatih Karabacak, Umit Y. Ogras and Sule Ozev. “Remote Detection of Unauthorized Activity via Spectral Analysis,” in Proc. of Intl. Conf. on Hardware/Software Codesign and Sys. Synthesis (CODES + ISSS), October 2017.

Ganapati Bhat, Sharanya Srinivas, Vamsi Chagari, Jaehyun Park, Thomas McGiffen, Hyunseok Lee, Daniel Bliss, Chaitali Chakrabarti and Umit Y. Ogras, “Fluid Wireless Protocols: Energy-Efficient Design and  Implementation,” in Proc. of  ESTIMedia, October 2017. [link]

Ujjwal Gupta, Raid Ayoub, Michael Kishinevsky, David Kadjo, Niranjan Soundararajan, Ugurkan Tursun, and Umit Y. Ogras. “Dynamic Power Budgeting for Mobile Systems Running Graphics Workloads,” in IEEE Trans. on Multi-Scale Computing Systems, February 2017.

Ujjwal Gupta, Jaehyun Park, Hitesh Joshi, Umit Y. Ogras. “Flexibility Aware Systems on Polymer: Concept to Prototype,” in IEEE Trans. on Multi-Scale Computing Systems, December 2016.

Cemil Geyik, Arindam Dutta, Umit Y. Ogras, Daniel W. Bliss, Decoding Human Intent using a Wearable System and Multi-Modal Sensor Data, in Proc. of the Asilomar Conf. on Signals, Systems, and Computers, November 2016.

Ganapati Bhat, Ujjwal Gupta, Nicholas Tran*, Jaehyun Park, Sule Ozev, Umit Y. Ogras. “Multi-Objective Design Optimization for Flexible Hybrid Electronics,” in Proc. of Intl. Conf. on Computer-Aided Design (ICCAD), November 2016 (*undergraduate student). [link]

Ujjwal Gupta, et al. “Adaptive Performance Prediction for Integrated GPUs,” in Proc. of Intl. Conf. on Computer-Aided Design (ICCAD), November 2016.

Alexandra Porter*, Md Muztoba, Umit Y. Ogras, “Human-Machine Communication for Assistive IoT Technologies,” (Extended Abstract) IoT Day at the Embedded Systems Week, October 2016 (*undergraduate student).

Ujjwal Gupta and Umit Y. Ogras. “Extending Networks from Chips to Flexible and Stretchable Electronics,” in Proc. of Intl. Symp. on Networks-on-chip, August 2016.

Fatih Karabacak, Umit Y. Ogras, and Sule Ozev. “Detection of malicious hardware components in mobile platforms,” in Proc. of Intl. Symp. on Quality Electronic Design (ISQED), March 2016.

Fatih Karabacak, Uwadiae Obahiagbon, Umit Ogras, Sule Ozev, and Jennifer Blain Christen. “Making unreliable Chem-FET sensors smart via soft calibration,” in Proc. of Intl. Symp on Quality Electronic Design (ISQED), March 2016.

Ujjwal Gupta, Spurthi Korrapati, Navyasree Matturu, and Umit Y. Ogras, “A Generic Energy Optimization Framework for Heterogeneous Platforms using Scaling Models,” in Elsevier Microprocessors and Microsystems, February 2016.

Md Muztoba, Ujjwal Gupta, Tanvir Mustofa, Umit Y. Ogras, “Robust Communication with IoT Devices using Wearable Brain Machine Interfaces,” in Proc. of Intl. Conference on Computer-Aided Design (ICCAD), November 2015.

Md Muztoba, Eric Qin*, Nicholas Tran* and Umit Y. Ogras, “Context-aware Control of Smart Objects via Human-Machine Communication,” in Proc. of Biomedical Circuits & Systems (BioCAS) Conference, October 2015. (*undergraduate students)

Paul Bogdan, Siddharth Garg, Umit Y. Ogras, “Energy-Efficient Computing from Systems-on-Chip to Micro-server and Data Centers,” In Proc. of Networks-on-Chip Symposium (NOCS), September 2015.

Ujjwal Gupta, Sankalp Jain, Umit Y. Ogras, “Can Systems Extended to Polymer? SoP Architecture Design and Challenges,” in Proc. of the Intl. System-on-Chip Conference (SOCC), September 2015. (Best paper candidate)

Sankalp Jain, Harshad Navale, Umit Y. Ogras, Siddharth Garg, Energy Efficient Scheduling for Web Search on Heterogeneous Microservers, in Proc. of Intl. Symp. on Low-power Electronics and Design (ISLPED), July 2015. 

Marco Escalante, Andrew B. Kahng, Michael Kishinevsky, Umit Y. Ogras, Kambiz Samadi, “Multi-Product Floorplan Optimization Framework for Chip Multiprocessors,” in Proc. of Intl. Workshop on System Level Interconnect Prediction, June 2015.

Gaurav Singla, et al., “Predictive Dynamic Thermal and Power Management for Heterogeneous Mobile Platforms,” in Proc. of Design Automation and Test in Europe Conference (DATE), March 2015.

David Kadjo, Umit Y. Ogras, Raid Ayoub, Michael Kishinevsky, Paul Gratz, “Towards Platform-level Power Management in Mobile Systems,” in Proc. of the Intl. System-on-Chip Conference (SOCC), September 2014.

Ujjwal Gupta and Umit Y. Ogras, “Constrained Energy Optimization in Heterogeneous Platforms using Generalized Scaling Models,” IEEE Computer Architecture Letters , vol. PP, no.99, pp.1,1, May 2014.

Umit Y. Ogras, Raid Ayoub, Michael Kishinevsky, David Kadjo, “Managing Mobile Platform Power,” in Proc. of Intl. Conference on Computer Aided Design (ICCAD), Nov. 2013.

Xi Chen, Zheng Xu, Hyungjun Kim, Paul V. Gratz and Jiang Hu, Michael Kishinevsky and Umit Y. Ogras, “In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches”,  ACM Transactions on Design Automation of Electronic Systems (TODAES), vol.18, no.4, pp. 1-47, Oct. 2013.

Xi Chen, Zheng Xu, Hyungjun Kim, Paul V. Gratz, Jiang Hu, Michael Kishinevsky, Umit Y. Ogras, Raid Ayoub, “Dynamic voltage and frequency scaling for shared resources in multicore processor designs,” Design Automation Conference (DAC), 2013, May 29 2013-June 7 2013.

Before 2013

Satrajit Chatterjee,  Michael Kishinevsky, Umit Y. Ogras, “xMAS: Quick Formal Modeling of Communication Fabrics to Enable Verification,” IEEE Design and Test of Computers, vol. 29, no. 3, pp. 80-88, June 2012.

Umit Y. Ogras, Yunus Emre, Jianping Xu, Timothy Kam, Michael Kishinevsky, “Energy-guided Exploration of On-chip Network Design for Exa-scale Computing,” in Proc. of Intl. Workshop on System Level Interconnect Prediction, June 2012.

Xi Chen, Zheng Xu, Hyungjun Kim, Paul V. Gratz, Jiang Hu, Michael Kishinevsky, Umit Y. Ogras, “In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches,” in Proc. of Intl. Symp. on Networks-on-Chip, May 2012.

Raid Z. Ayoub, Umit Y. Ogras, Eugene Gorbatov, Yanqin Jin, Timothy Kam, Paul Diefenbaugh, Tajana Rosing, “OS-level Power Minimization under Tight Performance Constraints in General Purpose Systems,” in Proc. of Intl. Symp. on Low-power Electronics and Design, August 2011.

Chen-Ling Chou, Radu Marculescu, Umit Ogras, Satrajit Chatterjee, Michael Kishinevsky, Dmitrii Loukianov, “System Interconnect Design Exploration for Embedded MPSoCs,” in Proc. of Intl. Workshop on System Level Interconnect Prediction, June 2011.

Radu David, Paul Bogdan, Radu Marculescu, Umit Y. Ogras, “Dynamic Power Management of Voltage-Frequency Island Partitioned Networks-on-Chip using Intel Sing-Chip Cloud Computer,” in Proc. of Intl. Symp. on Networks-on-Chip, May 2011.

Nikita Nikitin, Satrajit Chatterjee, Jordi Cortadella, Michael Kishinevsky, Umit Y. Ogras, “Physical-Aware Link Allocation and Route Assignment for Chip Multiprocessing,” in Proc. of Intl. Symp. on Networks-on-Chip, May 2011.

Umit Y. Ogras, Paul Bogdan, Radu Marculescu, “An Analytical Approach for Network-on-Chip Performance Analysis,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 29, pp. 2001-2013, Dec. 2010. (IEEE D.O. Pederson Trans. On CAD Best Paper Award)

Satrajit Chatterjee, Michael Kishinevsky, Umit Y. Ogras, “Quick Formal Modeling of Communication Fabrics to Enable Verification,” in Proc. of Intl. High Level Design Validation and Test Workshop, June 2010.

Siddharth Garg, Diana Marculescu, Radu Marculescu, Umit Y. Ogras, “Technology-driven Limits on DVFS Controllability of Multiple Voltage-frequency Island Designs: A System-level Perspective,” in Proc. of Design Automation Conference, June 2009.

Umit Y. Ogras, Radu Marculescu, Diana Marculescu, Eun Gu Jung, “Design and Management of Voltage-Frequency Island Partitioned Networks-on-Chip,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., Special Section on Networks-on-Chip, vol. 17, pp. 330-341, March 2009.
(IEEE T-VLSI Best Paper Award)

Radu Marculescu, Umit Y. Ogras, Li-Shiuan. Peh, Natalie E. Jerger, Yatin Hoskote, “Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 28, pp. 3-21, Jan. 2009.

Chen-Ling Chou, Umit Y. Ogras, Radu Marculescu, “Energy- and Performance-aware Incremental Mapping for Networks-on-Chip with Multiple Voltage Levels,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 27, pp. 1866-1879, Oct. 2008.

Umit Y. Ogras, Radu Marculescu, Diana Marculescu, “Variation-Adaptive Feedback Control for Networks-on-Chip with Multiple Clock Domains,” in Proc. of Design Automation Conference, July 2008. (Best paper candidate)

Umit Y. Ogras, Radu Marculescu, “Analysis and Optimization of Prediction-based Flow Control in Networks-on-Chip,” ACM Trans. on Design Automation of Electronic Syst., vol. 13, no. 1, Jan. 2008.

Nicholas H. Zamora, Xiaoping Hu, Umit Y. Ogras, Radu Marculescu, “Enabling Multimedia Using Resource-Constrained Video Processing Techniques: A Node-Centric Perspective,” ACM Trans. on Design Automation of Electronic Syst., vol. 13, no.1, Jan. 2008.

Umit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Puru Choudhary, Diana Marculescu, Michael Kaufman, Peter Nelson, “NoC Prototyping Using FPGAs: Challenges and Promising Results in NoC Prototyping Using FPGAs,” IEEE Micro, Special Issue on Interconnects for Multi-Core Chips, vol. 27, pp. 86-95, Sept./Oct. 2007.

Hyung Gyu Lee, Naehyuck Chang, Umit Y. Ogras, Radu Marculescu, “On-Chip Communication Architecture Exploration: A Quantitative Evaluation of Point-to-Point, Bus, and Network-on-Chip Approaches,” ACM Trans. on Design Automation of Electronic Syst., vol.12, no.3, Aug. 2007.

Umit Y. Ogras, Radu Marculescu, Puru Choudhary, Diana Marculescu, “Voltage-Frequency Island Partitioning for GALS-based Networks-on-Chip,” in Proc. of Design Automation Conference, July 2007. (Best paper candidate)

Umit Y. Ogras, Radu Marculescu, “Analytical Router Modeling for Networks-on-Chip Performance Analysis,” in Proc. of Design Automation and Test in Europe Conference, April 2007. (Best paper candidate)

Cristian Grecu, et al., “Towards Open Network-on-Chip Benchmarks,” in Proc. of Intl. Symp. on Networks-on-Chip, May 2007.

Ting-Chun Huang, Umit Y. Ogras, Radu Marculescu, “Virtual Channels Planning for Networks-on-Chip,” in Proc. of 8th Intl. Symp. on Quality Electronic Design, March 2007.

Jingcao Hu, Umit Y. Ogras, Radu Marculescu, “System-Level Buffer Allocation for Application-Specific Networks-on-Chip Router Design,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol.25, pp. 2919-2933, Dec. 2006.

Umit Y. Ogras, Radu Marculescu, “”It’s a small world after all”: NoC Performance Optimization via Long Link Insertion,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst.Special Section on Hardware/Software Codesign and System Synthesis, vol. 14, pp. 693-706, July 2006.

Radu Marculescu , Umit Y. Ogras, Nicholas. H. Zamora, “Computation and Communication Refinement for Multiprocessor SoC Design: A System-Level Perspective,” ACM Trans. on Design Automation of Electronic Syst., vol. 11, no. 3, July, 2006.

Umit Y. Ogras, Hakan Ferhatosmanoglu, “Online Summarization of Dynamic Time Series Data,” Intl. Journal on Very Large Databases, Springer Verlag, vol. 15, no. 1, pp.  84-98 Jan 2006.

Umit Y. Ogras, Radu Marculescu, “Prediction-based Flow Control for Network-on-Chip Traffic,” in Proc. of Design Automation Conference, July 2006.

Hyung Gyu Lee, Umit Y. Ogras, Radu Marculescu, Naehyuck Chang, “Design Space Exploration and Prototyping for On-chip Multimedia Applications,” in Proc. of Design Automation Conference, July 2006.

Umit Y. Ogras, Radu Marculescu, Hyung Gyu Lee, Naehyuck Chang, “Communication Architecture Optimization: Making the Shortest Path Shorter in Regular Networks-on-Chip,” in Proc. of Design Automation and Test in Europe Conference, March 2006.

Umit Y. Ogras, Radu Marculescu, “Application-Specific Network-on-Chip Architecture Customization via Long-Range Link Insertion,” in Proc. of Intl. Conf. on Computer Aided Design, Nov. 2005.

Umit Y. Ogras, Jingcao Hu, Radu Marculescu, “Key Research Problems in NoC Design: A Holistic Perspective,” in Proc. of the Intl. Conf. on Hardware-Software Codesign and System Synthesis, Sept. 2005.

Umit Y. Ogras, Jingcao Hu, Radu Marculescu, “Communication-Centric SoC Design for Nanoscale Domain,” in Proc. of Intl. Conf. on Application-specific Systems, Architectures and Processors, July 2005.

Umit Y. Ogras, Radu Marculescu, “Energy- and Performance-Driven NoC Communication Architecture Synthesis Using a Decomposition Approach,” in Proc. of Design, Automation and Test in Europe Conference, March 2005.

Omer Egecioglu, Hakan Ferhatosmanoglu, Umit Y. Ogras, “Dimensionality Reduction and Similarity Computation using Inner Product Approximations,” IEEE Trans. on Knowledge and Data Engineering (TKDE), vol. 16, pp. 714-726, June 2004.

Xiaoping Hu, Umit Y. Ogras, Nicholas H. Zamora, Radu Marculescu, “Data Partitioning Techniques for Pervasive Multimedia Platforms,” in Proc. of Intl. Conf. on Multimedia and Expo, June 2004.

Nicholas H. Zamora, Xiaoping Hu, Umit Y. Ogras, Radu Marculescu, “Resource-Aware Video Processing Techniques for Ambient Multimedia Systems,” in Proc. of Intl. Conf. on Multimedia and Expo, June 2004.

Umit Y. Ogras, Oguz Dagci and Umit Ozguner, “Cooperative Control of Mobile Robots for Target Search,” in Proc. of Intl. Conf. on Mechatronics, June 2004.

Umit Y. Ogras, Hakan Ferhatosmanoglu, “Dimensionality Reduction using Magnitude and Shape Approximations,” in Proc. of Intl. Conf. on Information and Knowledge Management, Nov. 2003.

Oguz Dagci, Umit Y. Ogras and Umit Ozguner, “Path Following Controller Design Using Sliding Mode Control Theory,” in Proc. of American Control Conference, June 2003.

Umit Ozguner, Keith Redmill, Umit Y. Ogras, Oguz Dagci and Michael Launsbach, “Autonomous Vehicles in Structured and Semi-Structured Environments,” in Proc. of Conf. on Decision and Control, Dec. 2002.

M.S. Thesis

Chetan Arvind Patil. Power, Performance, and Energy Management of Heterogeneous Architectures.Master’s thesis, School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ, 2019. [Thesis]

Ranadeep Deb. How Does Technology Development Influence the Assessment of Parkinson’s Disease? A Systematic Review. Master’s thesis, School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ, 2019. [Thesis]

Gaurav Singla. Predictive Dynamic Thermal and Power Management for Heterogeneous Mobile Platforms. Master’s thesis, School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ, 2015.

Sankalp Jain. Energy-efficient Scheduling for Heterogeneous Servers in the Dark Silicon Era. Master’s thesis, School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ, 2015.

Ujjwal Gupta. Constrained Energy Optimization in Heterogeneous Platforms using Generalized Scaling Models. Master’s thesis, School of Electrical, Computer and Energy Engineering, Arizona State University, Tempe, AZ, 2014.

Technical Reports

Sumit K. Mandal, Network-on-Chip (NoC) Performance Analysis and Optimization for Deep Learning Applications,  Preliminary Exam Report, University of Wisconsin-Madison, June 2021

Jaehyun Park, Ganapati Bhat, Cemil S. Geyik, Hyung Gyu Lee, Umit Y. Ogras. Energy-Optimal Gesture Recognition using Self-Powered Wearable Devices. Technical Report, Arizona State University, 2018